2006-03-01から1ヶ月間の記事一覧

進捗

SRAMを使った命令のロードは完了。ついでにRS232Cを使って命令を最初に入力する処理も完了。 ただ、メモリへのロード・ストア命令はまだ正常に動作していないので、これをきちんとやる必要がある。

寝覚め

昼の三時に電話がかかってきて、べんてんに呼び出される。まだ寝たかったのに〜。 中盛を完食するも、結構苦しかった。

Kansai.pm

http://kansai.pm.org/cgi-bin/blosxom.cgi 行きますよ、ということで。レポートとかは僕が書く必要があるかどうかは不明なのですが、メモ程度は記述するかも。 個人的には、すごく気になっている内容があって、それは「大学でのPerl講習会の報告」です。様…

今日はお休み

いろいろと。 ということで、新宿で飲み。明日からまたSRAMとの戦いが始まる。何とかしよう。

本日の進捗

F2IとI2Fのライブラリ実装が終わり。SRAMは動かず。どこが間違ってるのかも全く分からない。読めない書けない。これに関してはちょっと保留する。とはいえ、早く動かさないと。 FPUはF2Iを外した。これを組み込むのはすぐだけれど、先にSRAMを動作させておき…

SRAMモジュールの組み込み

とりあえずやってみた。 これでFIBが動けば良し。その作業は明日。昨晩は途中でノートの電源が切れたので、F2IもI2Fも未完成。これを家でやろう。

CPU進捗

今日からやった作業を全て記述することにする。一人でやってると寂しくなるので。 今日はとりあえずFIBサーバが動作した。 これでRS232Cを用いた通信関連の命令は終了。 後はSRAMとFPUを乗せれば完成するはずだけれど・・・

お疲れ様でした

とりあえず晒しあげ終了。まあ僕の責任ですわな。 で、これからの予定。新しい制御回路を用いての設計だけれども、現状BlockRAMマシーンでfibは動いている。RS232Cも、動くようになっていそうな感じ。デフォルト値の指定が抜けている部分があって、そこがISE…

今晩の予定と明日の予定

今晩中に、F2IとI2Fのライブラリによる実装への切り替え準備。ライブラリの記述方法については、ymatsu氏と相談しなくてはならないので一人では無理だけれども、実装自体は可能なのでやる。 明日は、まずFIBサーバがきちんと動作するかを検証する。これでRS2…

風呂と睡眠

まだまだ月曜までには時間がある。なんだかんだいいながらも知的作業を行っているので、今はまだ寝ておこう。今晩大学に行って、明日の昼に帰宅して睡眠。日曜から月曜昼にかけては最後の作業を気合入れてやろう。 とりあえず、寝れるときに集中して寝るのは…

デバッグ終了

デバイスからの読み込みの一回目がなぜか失敗すると言うバグがあり、何でかなあということだったので、「じゃあデバッグしておくよ」と気軽に発言してしまい、今までずっとデバッグ作業をしていました。 その結果。なぜか一番最初の命令を実行する際にプログ…

続HaSL

とりあえず、C:\cygwin\lib\libncurses.aを、C:\ghc\ghc-x.y.z\gcc-lib以下にlibcurses.aとリネームして置けば、上記のエラーはなくなることが分かった。ただ、別のエラーは出ているようなので、なんとか方法を探ってみたいと思う。 後、同ディレクトリにあ…

HaSL

id:kzk:20060309とりあえずダウンロードしてコンパイルしようとしたけれども、 cannot find -lcurses というghcのエラー。まあ仕方ないか、Windowsだし。確か本家のSLもそんな感じでコンパイルできなかったよなあ。本家のSLは、それでもcygwin gccでコンパイ…

Kansai.pm

イベントがあるらしいけど、どうしたものか。行きたいのは行きたいんだが、19日。うーん、CPUしだいだなあ。 CPUさえ終わっていれば、その後実家に帰ればいいだけだし、どうとでもできるんだけどなー。

CPUの進捗

ちょっとIncrementしておこう。 まず、USBが改めて動作した。で、制御回路はFPUを組み込んで動作している。ただ、レイトレが動くまでにはまだデバッグが必要だと思われる。明日からレイトレでデバッグ。一発で動けばいいなあ。 ソフトウェア側は、シミュレー…

Slice

FPUのスライスが、70%というありえない数値を記録した件について。 さすがにちょっと問題ありすぎなので、原因となっているFADDをどうにかすることに。とりあえず、FADDがFPUの中に2つもあるというのが一つの原因なので一つ減らして、なおかつ一つのFADDのsl…

FADDのバグ

なんかいっぱいあるっぽい。かなりの部分は潰したのだけれど、まだちょっとだけ残っている。残っている内容は、なぜか正しい答えより4倍程度の大きさの値が出力されることがあるというもの。とりあえず調べてみるけど。 まあ、気まぐれに30分くらいで書いた…

何はともあれ

fibが動いた今日という日を忘れないようにしよう。 現状の班の進捗。 制御回路・・・fibが動いた。 USB・・・バグってる FPU・・・FADDのテストがまだ。後それとレジスタをBlockRAMに切り替えたので、全体のテストもやり直し。スライス36%。 RS232C・・・ま…

疲れた

明日は試験だし、帰るかな。 FPUは、いろいろいじくった結果、何とか全ての命令が動作することを確認。無駄なステートとかが存在しているけれど、確実に動作させるにはきっと待った方が良いのではないか的な発想で。 後もう少しだけテストをしたいけど、何と…

printfデバッグの有用性

VHDLを書いていると、printfが書ける環境というのがいかに素晴らしいかがよくわかる。processの中のvariableの値とかを全部printfしてやりたい衝動に駆られるんだが・・・ さらに、面倒なのはテストベンチで出力結果を見ても、そんな浮動小数点わかんねーよ…

大学に行くべきか行かないべきか、それが問題だ

今日はPさんも3k-氏もいないという話だったが。家で作業した方が、確かに集中時は進むんだけど、集中する時間が短いという欠点があったり。雨もやんでいることだし、とりあえず大学に向かおうかな。 なんだか普通の日記ばかりで、特にこの言語がどうのこうの…

FPU

うーん、微妙に動かないところがあったりして。今はINVのWrite Enableをセットできていないという問題が。どうなってんのかなあ。 後もう一つ懸念事項として、F1 = F1 + F2みたいなことをした場合、きちんと動作するか未確認。今週の作業はそれくらいしか出…